[ibis-macro] Re: Thank You for Encryption Discussion

  • From: John Shields <jshields@xxxxxxxx>
  • To: Bob Ross <bob@xxxxxxxxxxxxx>
  • Date: Tue, 16 May 2006 16:43:03 -0700

Hi Bob,

Your welcome. I followed up on providing access to the Accellera documents. As I expected, the intent is that they be open and freely available to anyone. Some of the documents on Accellera's website may not be accessible, despite that intent. I will work that out in the near future and provide you with some paths to access the relevant documentation on the IP encryption in VHDL.

Regards,
John Shields

Bob Ross wrote:
Hi John:

Thank you for calling in today. Your discussion was quite helpful.

The encryption proposal has been approved for Verilog 1364-2005
and a similar draft is pending completion for VHDL by DAC. Both
are targetted for IEEE adoption.

Simply supporting or even endorsing the encryption documents in
the Verilog and Accelera/VHDL groups looks like the best approach
for IBIS members. If several groups support a common approach,
then the EDA and semiconductor/IP vendors to should also support
that approach for business reasons.

I might suggest a formal IBIS resolution showing such support.

The IBIS Committee plans to meet on Tuesday, July 25 at DAC,
probably at the hotel Mentor is booking. I will follow up later,
but you are welcome to attend and give a status update on
the language group encryption efforts (1/2 hour or so.) You
can even join for a free lunch. We can schedule a time slot
that matches your schedule.

We assume that the approaches taken would easily extend to
VHDL-AMS and Verilog-AMS (whether or not officially approved).
We would support such extensions.

We hope to call on you for more information.

Bob


--------------------------------------------------------------------- IBIS Macro website : http://www.eda.org/pub/ibis/macromodel_wip/ IBIS Macro reflector: //www.freelists.org/list/ibis-macro To unsubscribe send an email: To: ibis-macro-request@xxxxxxxxxxxxx Subject: unsubscribe

Other related posts: